Новости про процессоры и технологии

Intel хочет внедрить 1 нм процесс в 2027 году

Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Этот анонс был сделан в ходе конференции IFS Direct Connect. Было отмечено, что он придёт на смену техпроцессу 14A, который будет доступен в 2026 году, при этом 10A предложит значительные усовершенствование в технологии производства.

Также в 2027 Intel планирует масштабировать процесс 14A, привлекая для этого машины high-NA-EUV от ASML. Они должны обеспечить уменьшение размеров транзисторов и более точное их размещение. Эта версия технологии будет называться 14A-E.

Буква «A» в названии технологии, без сомнения, означает не только переход на ангстремы в измерении размеров транзисторов, но и прозрачно намекает, что Intel собирается быть в технологическом авангарде. Конкретных деталей об этих процесс пока не называлось. Было сказано лишь об их высокой энергоэффективности и производительности, то, что мы и так слышим на каждом технологическом рывке.

Когда остановится масштабирование SRAM или кэш в техпроцессе TSMC 3 нм

Как известно, компания TSMC начала производство микросхем по 3 нм нормам. Этот техпроцесс включает все последние достижения науки, однако он же стал предвестником больших проблем дальнейшего развития.

Дело в том, что по данным самой TSMC, плотность кэш-памяти SRAM в новой технологии 3NE будет точно такой же, как и у 5 нм предшественника.

Более совершенная версия 3NB является более нишевой, и она уже будет иметь некоторое масштабирование SRAM, правда, всего на 5% по сравнению с 5 нм. При этом транзисторы в ядрах будут уменьшены в традиционные 1,6—1,7 раза, хотя этот процесс весьма сложен и эти цифры говорят о Законе Мура весьма приближённо.

Проблема заключается в том, что уменьшить размер процессора, не уменьшая физический размер кэша — невозможно. Процессор настолько большой, насколько большой у него кэш. Место на кристалле, занятое кэшем, не может быть использовано под размещение логики, а учитывая рост числа логических транзисторов производителям микросхем нужно продолжать наращивать размер кэша, чтобы избежать узкого места, связанного с памятью.

И размер транзисторов, с каждым производственным поколением, продолжает сокращаться, а вот компенсировать увеличение кэша за счёт уменьшения SRAM — не удаётся. И именно этот процесс может стать началом конца Закона Мура.

Intel представила технологическую дорожную карту до 2025 года

Компании Intel явно нужно было что-то делать с наименованием технологических процессов. Долгие годы мы наблюдали за технологией 14 нм с бесконечными плюсами, теперь же мы видим 10 нм и свежепредставленную 10 нм Enhanced SuperFin.

Очевидно, чтобы снизить путаницу и представить себя в лучшем свете, компания решила переходить на новые имена.

Отныне, вместо Enhanced SuperFin, компания будет производить микросхемы по технологии Intel 7. Эта технология, с виртуальным размером элементов 7 нм, позволит увеличить соотношение производительность к ватту на 10—15% по сравнению с 10 нм, обеспечит оптимизацию транзисторов FinFET. Эти микросхемы уже находятся в массовом производстве и на потребительском рынке появятся под именем Alder Lake.

Новые брендовые имена техпроцессов Intel

После представления CPU по технологии Intel 7 в этом году, компания перейдёт к Intel 4, которую раньше компания называла 7 нм процессом. Эта технология даст 20% прирост производительности на ватт, будет полноценно использовать экстремальную ультрафиолетовую литографию и будет реализована в процессорах Meteor Lake для потребителей и Granite Rapids для ЦОД.

Инновации в сфере производства микросхем

Следующим этапом станет Intel 3, с 18% приростом производительность на ватт по сравнению с Intel 4, а также с библиотекой Denser HP, увеличенными внутренними токами, сниженным сопротивлением, увеличенным использованием EUV. Процессоры, изготовленные по процессу Intel 3 появятся во второй половине 2023 года.

Демонстрация технологий RibbonFET и PowerVia

Следующим этапом станет Intel 20A. 20A означает условные 20 ангстрем, то есть 2 нм. Эта технология будет использовать архитектуру транзисторов RibbonFET, которые заменят FinFET, а также позволит использовать новую технологию связей PowerVia. Технология PowerVia будет доступна в 2024 году, в то время как RibbonFET появится в I квартале 2024 года.

Далее компания планирует выпустить технологию Intel 18A, которая «в разработке на начало 2025 года».

IBM заявила о готовности 2 нм технологии

Компания IBM объявила об очередном технологическом достижении, представив миру первый чип, изготовленный по технологии 2 нм.

Как и следует ожидать, новая технология обеспечит множество преимуществ в энергоэффективности и производительности, что характерно для переходов на новые более тонкие техпроцессы.

Процессор IBM, изготовленный по 2 нм нормам, может вмещать до 50 миллиардов транзисторов и обеспечивает на 45% большую производительность и на 75% меньшее энергопотребление, чем современны 7 нм чипы.

Экспериментальные 2 нм процессоры IBM

Главные преимущества 2 нм технологии названы самой IBM:

  • Продление автономной работы смартфонов в 4 раза, зарядка будет требоваться раз в 4 дня.
  • Уменьшение углеродного следа центров обработки данных, которые потребляют 1% энергии, производимой в мире. Оснащение этих серверов 2 нм чипами позволит заметно снизить эту величину.
  • Разительное ускорение функционирования ноутбуков, от более быстрой обработки приложений до языковых переводов и более быстрого подключения к Интернету.
  • Более быстрое выявление объектов и реакции в системах беспилотных автомобилей.

Примечательно, что ранее IBM также самой первой в мире представляла 7 нм и 5 нм технологии. Таким образом, компания продолжила свою лидерскую тенденцию и с 2 нм процессом.

Китай готовится конкурировать с FinFET

Китайские производители микросхем игнорируют технологию FinFET, вместо этого разрабатывая процесс Fully Depleted Silicon-on-Insulator (FD-SOI), который также называется Ultra-Thin Body (UTB).

По информации DigiTimes Research такой подход поведёт их по другому пути развития, отличному от Intel и TSMC.

Технология UTB использует меньшее прямое и рабочее напряжение, а также обеспечивает лучшее энергосбережение. Кроме того, она требует меньших операционных затрат, чем FinFET. Надо отметить, что технологией заинтересована не только китайская HH Grace Semiconductor, но и Globalfoundries, Samsung и STMicroelectronics.

Обобщённый доход в лагере UTB окажется меньшим, чем отмеченный TSMC, однако производители по технологии UTB обладают меньшими заказами на чипы со средней и низкой ценой, и не могут сравняться с объёмами продаж процесса FinFET, что ставит перед UTB отчётливые цели.

Аналитики также отмечают, что поставщики чипов, выпускающие дорогие и высокопроизводительные чипы, хотят изготавливать свои процессоры на заводах с технологией FinFET.

В Haswell не работает TSX

Компания Intel объявила о том, что ошибки в конструкции микроархитектуры Haswell привели к тому, что в процессорах пришлось отключить транзакционное расширение памяти, известное как TSX.

При анонсе микроархитектуры в 2012 году технология TSX объявлялась компанией как главная функция Haswell. Эта система позволяет программистам определять область памяти, которая может быть синхронизирована для транзакционного использования; а затем, как в базе данных, операции могут выполняться в изоляции без страха быть несинхронизированными. Для многопоточных приложений, написанных с использованием TSX, технология обещает значительный прирост производительности в связи с отсутствием необходимости в шагах синхронизации, который обычно присутствуют в поточном программировании.

Но, к сожалению, появилась проблема. Ошибка была выявлена в микроархитектуре Haswell, что означает невозможность правильной работы TSX, в связи с чем Intel решила с помощью обновления микрокода отключить TSX совсем. Это изменение коснулось абсолютно всех процессоров Haswell.

Сама Intel подтвердила существование ошибки и внесла её в официальный перечень проблем с процессорами. Исправить проблему, которая была выявлена разработчиками, фирма сможет только в будущих степпингах в ближайшем будущем.

При этом Intel ничего не сообщила о том, как она собирается отвечать на жалобы покупателей, которые приобрели процессоры для использования функции TSX.

Intel планирует 10 нм в 2015 году

Компания Intel провела для журналистов хорошую техническую пресс-конференцию, в ходе которой сотрудник компании Марк Бор (Mark Bohr) рассказал о 14 нм производственном процессе.

Он сообщил, что Intel надеется выпустить 14 нм производство уже к концу 2013 года. Этот процесс будет проходить по графику подготовки процессоров следующего поколения, известных под кодовым именем Broadwell, которые поступят в массовое производство в 2014 году.

Сам техпроцесс называется P1272 и предусматривает использование элементов схемы равных 16 нм, однако в Intel предприняли некоторые шаги, которые позволили уплотнить элементы кристалла. Разработчики сумели расположить элементы более плотно, чем ожидалось 6 лет назад, когда этот техпроцесс был только анонсирован. В результате Intel получила более энергоэффективную дорожную карту, в отличие от более ранней,  направленной на высокую производительность.

Говоря о будущем компании, были отмечены исследования в области 10 нм технологии, которая запланирована на 2015 год. В то же время Intel работает и над 7 нм, и даже над 5 нм техпроцессами, но Бор не уточнил ожидаемые сроки их поступления в производство.

Если Intel продолжит обновление техпроцесса теми же темпами, то при условии выхода 10 нм литографии в 2015 году, 7 нм появятся в 2017, а 5 нм технология — в 2019 году.

Intel уже имеет 14 нм тестовую схему

Сайт Nordic Hardware опубликовал эксклюзивное интервью с Пэтом Блимером (Pat Bliemer), управляющим директором Intel Northern Europe.

В этой беседе наши коллеги обсудили с Блимером технологические перспективы развития компании после перехода на 22 нм технологические нормы, которые будут использованы в центральных процессорах семейства Ivy Bridge. К сожалению, Блимер не стал заострять внимание на технических деталях вопроса, и не указал даже примерный срок, когда новые технологические решения появятся на свет. Однако он отметил, что в них в полной мере будут использована трёхмерная транзисторная технология Tri-Gate, такая же, как и в Ivy Bridge, а также то, что тестовая электросхема будущей технологии уже работоспособна.

«Нам нужно продолжать и вы можете мне поверить, что в наших лабораториях мы уже имеем работающее следующее, после 22 нм, поколение, так что нам нужно продолжать… Я действительно не могу рассказать об этом ничего большего, кроме как то, что в лабораторных условиях мы уже стоим на пути, наши инженеры уже стоят на пути запуска и производства 14 нм продуктов… И я думаю, что самым важным нашим достижением стали металлические 3D затворы, и лишь конструкция затворов, на самом деле, может обеспечить более эффективное использование энергии и меньшее выделение тепла».

Напомним, что летом компания сообщала и о дальнейших производственных планах. Тогда, 14 нм техпроцесс планировался к внедрению в 2014 году, а 10 нм — около 2018 года.

Промышленные слухи: 14 нм в 2015

По заявлению руководителя отдела исследований компании TSMC Шан-и Чиана (Shang-yi Chiang), их компания планирует переход на нормы 14 нм техпроцесса в 2015 году.

Для примера, в настоящее время Intel изготавливает свои процессоры по 32 нм технологии. 22 нм чипы должны появиться уже в этом году, однако, по всей видимости, выход этих микросхем будет отложен. Согласно существующей технологической дорожной карте Intel, производство чипов по 14 нм технологии начнётся в 2013 году, а в 2015-м компания планирует перейти на 10 нм. На следующей неделе в Сан-Франциско пройдёт выставка IDF, на которой Intel представят обновленную дорожную карту. Интересно, будут ли смещены существующие сроки?

В то же время, GlobalFoundries планирует переход на 20 нм в микросхемах слабой мощности, предназначенных для сетевых, беспроводных и мобильных устройств, лишь в 2013 году. При этом выпуск высокомощных процессоров по 20 нм техпроцессу компания планирует начать в 2014 году. Эти данные полностью совпадают с планами AMD, по переходу на новые техпроцессы, что позволяет считать эту информацию правдоподобной.

Кроме уменьшения размера элементов интегральных схем, Чиан также предположил, что в 2015 году их производство перейдёт на использование блинов подложек диаметром 450 мм.

При всем этом ни одна из трёх компаний не объявила об использовании технологии КНИ (кремний-на-изоляторе) в своих будущих чипах. Однако это вовсе не означает, что вся лидирующая тройка отказалась от этого. Тем не менее, по слухам, первыми на технологию 14 нм КНИ с использованием подложек диаметром 450 мм перейдёт компания Samsung.

Creative анонсировали звуковую плату на чипе Core3D

Когда-то давно существовало огромное количество производителей и разработчиков звуковых карт, но до наших дней дожили, к сожалению, только Creative (причём даже они потеряли «Labs» в конце названия). И вот вчера, компания анонсировала свою первую звуковую карту на четырёхъядерном процессоре Sound Core3D.

Однако эти 4 ядра не одинаковые, каждое ядро предназначено для выполнения собственной задачи.

Чип Sound Core3D поддерживает обработку новых функций Creative CrystalVoice и THX TruStudio Pro, а также предыдущих версий аудиопроцессоров. Примечательно, что в пресс-релизе компании отсутствует упоминание о технологии X-Fi, из чего следует предположение, что разработчики прекратили её поддержку.

EAX Advanced HD 5.0 по прежнему входит в поставку, так что геймеры могут не волноваться о поддержке объёмного звука в играх.

Теперь касательно новых возможностей. Так CrystalVoice добавляет функцию исключения акустического эха Acoustic Echo Cancellation, которая, по сути, является расширением технологии шумоподавления, требующая для своей работы дополнительный микрофон, собственно  функцию шумоподавления, схему динамичной подстройки громкости голоса Smart Volume и средство искажения звука FX.

THX TruStudio Pro включает дополнительную обработку звука по технологии Crystalizer, исправляя искажения сжатого звука, поддержку объёмного звука Surround, усиление звучания низких частот благодаря технологии Bass и технологию Dialog Plus, которая обеспечивает оптимальное распределение громкости голоса в играх и фильмах.

Для конечных пользователей компания представила четыре решения, построенных на новом процессоре. Первое из них — это карта Core3D PCI-Express, которая представляет собой плату классического дизайна с весьма небольшим количеством элементов.

Следующая плата — Recon3D Fatal1ty Professional, имеет плату, заключенную в металлический кожух с маленьким окошком. Эта плата будет поставляться с лучеобразующим микрофоном.

Третья представленная модель — Recon3D Fatal1ty Champion. Она мало чем отличается от модели указанной выше. Однако в этой звуковой плате имеется дополнительная панель для подключения наушников и управления громкостью разных аудиоджеков.

Последнее представленное решение — карта Recon3D USB, которая в корне отличается от трёх предыдущих моделей, поскольку предназначена для подключения по USB, а не по PCI-Express. Это аудиоустройство оснащено коннекторами для гарнитуры, имеет линейные входы/выходы и S/PDIF вход, что означает возможность декодирования Dolby Digital звука и передачи его в многоканальные наушники. На правой стороне гаджета имеется трёхпозиционный переключатель микрофонного усилителя, а на левой — система, позволяющая переключатся между PC, Xbox 360 и PS3. Это устройство должно появиться в продаже в октябре по цене 130 долларов США.

Что касается PCI-e карт, то их стоит ожидать в продаже только в первом квартале 2012 года, при этом цена на устройства пока не названа.

VIA выпустили свой первый четырехъядерный процессор Nano

Инженеры VIA поступили точно также, как инженеры Intel в позапрошлом году с процессором Pentium D, или AMD, с 12-и ядерным Opteron. VIA решили использовать технологию MCM (Multi-Chip Module), установив два отдельных кристалла CPU с архитектурой Isaiah.

В результате получился плотно упакованный MCM процессор с двумя кристаллами по два ядра в каждом. Процессор, изготовленный по 40 нм техпроцессу на заводе TSMC, имеет разрядность 64 бита и работает на номинальной частоте 1,2 ГГц, однако в случае необходимости способен увеличить частоту до 1,46 ГГц благодаря технологии «адаптивного разгона».

Суммарный кэш второго уровня всех ядер равен 4 МБ. Процессор связан с чипсетом через устаревшую шину V4 с частотой 1333 МГц. Новый CPU рассеивает 27,5 Вт тепла, что делает его самым экономичным четырехъядерным процессором на рынке, однако маркетологи VIA не указали такой важный параметр как «производительность на ватт», как в прочем, ничего не сообщили о производительности в целом.

Судя по всему, VIA сохранила совместимость нового процессора с существующими системами Nano, так что для поддержки нового CPU будет достаточно просто обновить BIOS. Четырехъядерный процессор VIA Nano имеет некоторые возможности, которые будут интересны системным администраторам. Так, к примеру, он способен выполнять шифрование по стандарту AES на лету и поддерживает технологию VIA VT Virtualization. Имея все эти данные, можно сказать, что новый Nano с 4-я ядрами мог бы занять свою нишу в серверах, однако отсутствие успешных решений в этой области в прошлом могут стать преградой для продвижения нового процессора.

ARM и TSMC заключили сделку по производству микросхем

Давние партнеры ARM и TSMC объявили о подписании нового соглашения, которое подтверждает сотрудничество по запуску в производство оптимизированных микросхем на основе ARM SoC (System-on-Chip) на мощностях компании TSMC по 28 нм и 20 нм техпроцессам.

По условиям соглашения TSMC сможет получить доступ к широкому кругу ARM процессоров, которые будут переделаны для использования по её технологиям. Кроме того, две компании будут сотрудничать по  оптимизации реализаций ядер процессоров для обеспечения оптимальной мощности, производительности и площади кристаллов. В результате готовые решения планируется использовать в различных областях, включая беспроводные сети, портативные компьютеры, планшетные ПК и в секторе высокопроизводительных вычислений.

«Мы считаем, что усилия повысят ценность наших открытых инновационных платформ, что, в свою очередь, даст возможность эффективно использовать всю цепочку поставок», — сказал Фу-Цзе Сюй, вице-президент по дизайну и технологиям, а так же заместитель председателя R&D компании TSMC. «Сотрудничество одного из лидеров индустрии ИС — ARM и производителя мирового класса TSMC предоставит нашим общим заказчикам убедительные преимущества для использования современных технологий в полупроводниковой промышленности».

ARM ещё в прошлом году подписала производственное соглашение с TSMC, соперником GlobalFoundries, но оно охватывало только чипы на базе Cortex-A9 с использованием технологии 28 нм.

В следующем году Intel представит 10-ядерные процессоры с 20 виртуальными ядрами

Процессоры Intel следующего поколения с кодовым названием Westmere-EX могут иметь ядер больше, чем нынешние серверные процессоры. В воскресенье поступила информация о том, что Intel готовит документ под названием «Westmere-EX: A 20 Thread Server CPU», для конференции «Hot Chips 22», которая пройдет в Стэнфордском университете в Пало-Альто, Калифорния между 22 и 24 августа этого года.

На настоящий момент имеются процессоры с 16 потоками, которые имеют некоторые Nehalem-EX процессоры с восемью ядрами. Процессоры Westmere-EX — это дальнейшее развитие процессоров Nehalem-EX, способных запускать два потока одновременно на одном физическом ядре. Intel уже предлагает чипы на базе архитектуры Westmere для ноутбуков, настольных ПК и серверов, которые могут одновременно запускать два потока на ядро. Поэтому возможность запуска 20 потоков указывает на то, что процессоры Westmere-EX будут иметь, возможно, до 10 физических ядер.

Компания Intel раньше заявляла, что чипы Westmere-EX будут иметь больше ядер и работать на больших скоростях, чем Nehalem-EX, хотя и не предоставила дополнительной информации.

Также Intel заявила, что Westmere-EX будут предназначены для серверов с четырьмя и больше сокетами. Чипы будут произведены по 32-нм производственному процессу и выйдут в следующем году.

Кроме этого, ходят слухи, что на конференции представят свои серверные чипы IBM и Advanced Micro Devices. IBM будет говорить о следующем поколении «System z microprocessor». AMD же будет говорить о своём следующем поколении процессоров для настольных систем и серверов архитектуры с кодовым названием «Bulldozer» и микроархитектуры «Bobcat» для тонких и легких ноутбуков и других маломощных устройств.

Intel начинает 32-нм производство

На фабриках Intel началось массовое производство  первых процессоров, основанных на 32-нм техпроцессе с использованием технологии, в которой применяются металлические затворы и материалы с высоким изолирующим свойством.

Как известно, первые чипы, выполненные по 32-нм нормам производства,— это процессоры с архитектурой Westmere, предусматривающей интеграцию в одну упаковку 45-нм видеоядра и двух 32-нм вычислительных x86-ядер. Этот подход во многом меняет существующую схему взаимодействия набора системной логики с центральным процессором и должен обеспечить более высокую производительность встроенной графики.

Процессоры будут предназначены для недавно выпушенной контактной площадки LGA-1156 и чипсета P55. Однако, для работы встроенного в Westmere видеоядра потребуется новый набор системной логики — чипсет H55/H57, в котором появится шина взаимодействия с графическим ускорителем, ведь контроллер, отвечающий за видеовывод, по-прежнему остается на материнской плате.

На архитектуре Westmere будут выпускаться процессоры как для настольных компьютеров, так и для ноутбуков. Первые получили кодовое имя Clarkdale, а последние — Arrandale. Настольные решения будут продаваться под именами Core i5 600, Core i3 500 и Pentium G и станут доступными для приобретения в начале следующего года. Мобильные же процессоры появятся на рынке в конце текущего года.

7 японских производителей чипов объединились против Intel

40-летний опыт производства центральных процессоров позволяет Intel в настоящее время удерживать за собой более 80 % этого рынка. AMD практически в одиночку противостоит всесильному гиганту и, несмотря на вполне сопоставимые по качеству решения, занимает лишь незначительную часть рынка.

Однако, в индустрии центральных процессоров в будущем появится еще одна сильная сторона. 7 крупных производителей чипов, расположенных в Японии, объединились, чтобы создать альтернативу Intel. Альянс Hitachi, Toshiba, Fujitsu, NEC, Renesas, Panasonic и Canon работает над созданием нового процессора, который будет использовать разработки профессора Хиронори Касарахара из Васидского университета в области энергосбережения. Конечная цель — создать процессор, для функционирования которого было бы достаточно небольшого заряда солнечных батарей.

Создание чипа должно быть завершено в 2012 году. Так что пока говорить о серьезном противостоянии с Intel преждевременно.