Новости про производство, процессоры и технологии

Intel хочет внедрить 1 нм процесс в 2027 году

Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Этот анонс был сделан в ходе конференции IFS Direct Connect. Было отмечено, что он придёт на смену техпроцессу 14A, который будет доступен в 2026 году, при этом 10A предложит значительные усовершенствование в технологии производства.

Также в 2027 Intel планирует масштабировать процесс 14A, привлекая для этого машины high-NA-EUV от ASML. Они должны обеспечить уменьшение размеров транзисторов и более точное их размещение. Эта версия технологии будет называться 14A-E.

Буква «A» в названии технологии, без сомнения, означает не только переход на ангстремы в измерении размеров транзисторов, но и прозрачно намекает, что Intel собирается быть в технологическом авангарде. Конкретных деталей об этих процесс пока не называлось. Было сказано лишь об их высокой энергоэффективности и производительности, то, что мы и так слышим на каждом технологическом рывке.

Когда остановится масштабирование SRAM или кэш в техпроцессе TSMC 3 нм

Как известно, компания TSMC начала производство микросхем по 3 нм нормам. Этот техпроцесс включает все последние достижения науки, однако он же стал предвестником больших проблем дальнейшего развития.

Дело в том, что по данным самой TSMC, плотность кэш-памяти SRAM в новой технологии 3NE будет точно такой же, как и у 5 нм предшественника.

Более совершенная версия 3NB является более нишевой, и она уже будет иметь некоторое масштабирование SRAM, правда, всего на 5% по сравнению с 5 нм. При этом транзисторы в ядрах будут уменьшены в традиционные 1,6—1,7 раза, хотя этот процесс весьма сложен и эти цифры говорят о Законе Мура весьма приближённо.

Проблема заключается в том, что уменьшить размер процессора, не уменьшая физический размер кэша — невозможно. Процессор настолько большой, насколько большой у него кэш. Место на кристалле, занятое кэшем, не может быть использовано под размещение логики, а учитывая рост числа логических транзисторов производителям микросхем нужно продолжать наращивать размер кэша, чтобы избежать узкого места, связанного с памятью.

И размер транзисторов, с каждым производственным поколением, продолжает сокращаться, а вот компенсировать увеличение кэша за счёт уменьшения SRAM — не удаётся. И именно этот процесс может стать началом конца Закона Мура.

Intel представила технологическую дорожную карту до 2025 года

Компании Intel явно нужно было что-то делать с наименованием технологических процессов. Долгие годы мы наблюдали за технологией 14 нм с бесконечными плюсами, теперь же мы видим 10 нм и свежепредставленную 10 нм Enhanced SuperFin.

Очевидно, чтобы снизить путаницу и представить себя в лучшем свете, компания решила переходить на новые имена.

Отныне, вместо Enhanced SuperFin, компания будет производить микросхемы по технологии Intel 7. Эта технология, с виртуальным размером элементов 7 нм, позволит увеличить соотношение производительность к ватту на 10—15% по сравнению с 10 нм, обеспечит оптимизацию транзисторов FinFET. Эти микросхемы уже находятся в массовом производстве и на потребительском рынке появятся под именем Alder Lake.

Новые брендовые имена техпроцессов Intel

После представления CPU по технологии Intel 7 в этом году, компания перейдёт к Intel 4, которую раньше компания называла 7 нм процессом. Эта технология даст 20% прирост производительности на ватт, будет полноценно использовать экстремальную ультрафиолетовую литографию и будет реализована в процессорах Meteor Lake для потребителей и Granite Rapids для ЦОД.

Инновации в сфере производства микросхем

Следующим этапом станет Intel 3, с 18% приростом производительность на ватт по сравнению с Intel 4, а также с библиотекой Denser HP, увеличенными внутренними токами, сниженным сопротивлением, увеличенным использованием EUV. Процессоры, изготовленные по процессу Intel 3 появятся во второй половине 2023 года.

Демонстрация технологий RibbonFET и PowerVia

Следующим этапом станет Intel 20A. 20A означает условные 20 ангстрем, то есть 2 нм. Эта технология будет использовать архитектуру транзисторов RibbonFET, которые заменят FinFET, а также позволит использовать новую технологию связей PowerVia. Технология PowerVia будет доступна в 2024 году, в то время как RibbonFET появится в I квартале 2024 года.

Далее компания планирует выпустить технологию Intel 18A, которая «в разработке на начало 2025 года».

IBM заявила о готовности 2 нм технологии

Компания IBM объявила об очередном технологическом достижении, представив миру первый чип, изготовленный по технологии 2 нм.

Как и следует ожидать, новая технология обеспечит множество преимуществ в энергоэффективности и производительности, что характерно для переходов на новые более тонкие техпроцессы.

Процессор IBM, изготовленный по 2 нм нормам, может вмещать до 50 миллиардов транзисторов и обеспечивает на 45% большую производительность и на 75% меньшее энергопотребление, чем современны 7 нм чипы.

Экспериментальные 2 нм процессоры IBM

Главные преимущества 2 нм технологии названы самой IBM:

  • Продление автономной работы смартфонов в 4 раза, зарядка будет требоваться раз в 4 дня.
  • Уменьшение углеродного следа центров обработки данных, которые потребляют 1% энергии, производимой в мире. Оснащение этих серверов 2 нм чипами позволит заметно снизить эту величину.
  • Разительное ускорение функционирования ноутбуков, от более быстрой обработки приложений до языковых переводов и более быстрого подключения к Интернету.
  • Более быстрое выявление объектов и реакции в системах беспилотных автомобилей.

Примечательно, что ранее IBM также самой первой в мире представляла 7 нм и 5 нм технологии. Таким образом, компания продолжила свою лидерскую тенденцию и с 2 нм процессом.

Китай готовится конкурировать с FinFET

Китайские производители микросхем игнорируют технологию FinFET, вместо этого разрабатывая процесс Fully Depleted Silicon-on-Insulator (FD-SOI), который также называется Ultra-Thin Body (UTB).

По информации DigiTimes Research такой подход поведёт их по другому пути развития, отличному от Intel и TSMC.

Технология UTB использует меньшее прямое и рабочее напряжение, а также обеспечивает лучшее энергосбережение. Кроме того, она требует меньших операционных затрат, чем FinFET. Надо отметить, что технологией заинтересована не только китайская HH Grace Semiconductor, но и Globalfoundries, Samsung и STMicroelectronics.

Обобщённый доход в лагере UTB окажется меньшим, чем отмеченный TSMC, однако производители по технологии UTB обладают меньшими заказами на чипы со средней и низкой ценой, и не могут сравняться с объёмами продаж процесса FinFET, что ставит перед UTB отчётливые цели.

Аналитики также отмечают, что поставщики чипов, выпускающие дорогие и высокопроизводительные чипы, хотят изготавливать свои процессоры на заводах с технологией FinFET.