Новости про TSMC и техпроцесс

Блин TSMC N3 стоит более 20 000 долларов

Компания Apple стала первой, кто стал использовать технологию TSMC N3 для своих процессоров, но даже для неё очень сложно справиться со столь высокой производственной стоимостью.

Всего несколько лет назад выпуск одного 300 мм блина TSMC стоил порядка 5000 долларов, в зависимости от заказчика. Однако теперь, с переходом на 3 нм процесс, цена одного блина выросла до 20 000, в 4 раза. И такая стоимость оказалась неподъёмной для NVIDIA и AMD. Как известно, NVIDIA уже использовала процесс N8 от Samsung, однако производит Ada Lovelace по процессу 4N снова на TSMC. Дальнейший же технологический рывок будет стоить для компаний слишком дорого.

Блин с микросхемами

Однако у производителей мобильных систем выбора нет. И Qualcomm, и MediaTek вынуждены использовать TSMC N3 как наиболее эффективное решение на рынке. Сообщается, что аналогичные решения Samsung дают на 10% меньшую производительность. Заказчики же крупных процессоров, такие как AMD и NVIDIA, пока ожидают удешевления процесса производства, в надежде на снижения брака и уменьшение себестоимости, либо же доводки аналогичной технологии у конкурентов.

Сможет ли Samsung догнать TSMC пока не ясно. У тайваньской компании дела пока идут неплохо, однако в будущем возможны изменения. Кроме того, Intel возлагает большие надежды на модель IDM 2.0 Fab, и если она сможет добиться успеха с технологией Intel 18A, это непременно разобъёт монополию TSMC.

Когда остановится масштабирование SRAM или кэш в техпроцессе TSMC 3 нм

Как известно, компания TSMC начала производство микросхем по 3 нм нормам. Этот техпроцесс включает все последние достижения науки, однако он же стал предвестником больших проблем дальнейшего развития.

Дело в том, что по данным самой TSMC, плотность кэш-памяти SRAM в новой технологии 3NE будет точно такой же, как и у 5 нм предшественника.

Более совершенная версия 3NB является более нишевой, и она уже будет иметь некоторое масштабирование SRAM, правда, всего на 5% по сравнению с 5 нм. При этом транзисторы в ядрах будут уменьшены в традиционные 1,6—1,7 раза, хотя этот процесс весьма сложен и эти цифры говорят о Законе Мура весьма приближённо.

Проблема заключается в том, что уменьшить размер процессора, не уменьшая физический размер кэша — невозможно. Процессор настолько большой, насколько большой у него кэш. Место на кристалле, занятое кэшем, не может быть использовано под размещение логики, а учитывая рост числа логических транзисторов производителям микросхем нужно продолжать наращивать размер кэша, чтобы избежать узкого места, связанного с памятью.

И размер транзисторов, с каждым производственным поколением, продолжает сокращаться, а вот компенсировать увеличение кэша за счёт уменьшения SRAM — не удаётся. И именно этот процесс может стать началом конца Закона Мура.

Apple и Intel заказали производство у TSMC по 3 нм нормам

Компании Apple и Intel станут первыми получателями микросхем, изготовленных по новой технологии TSMC, 3 нм, и произойдёт это раньше, чем технология станет доступной остальным игрокам рынка.

Сайт Nikkei Asia сообщает, что Apple и Intel уже тестируют конструкцию своих микросхем с производственной технологией 3 нм от TSMC. Суть процесса заключается в получении готовых микросхем во второй половине следующего года.

По данным TSMC, технология 3 нм может увеличить производительность вычислений на 10—15%, по сравнению с 5 нм, при этом снизив энергопотребление на 25—30%.

TSMC

По всей видимости, первым устройством с 3 нм процессором станет Apple iPad. Телефоны iPhone, которые выйдут в следующем году, должны использовать 4 нм процессоры из-за графиков планирования.

Что касается Intel, то она работает над двумя 3 нм проектами с TSMC, которые нацелены на ноутбуки и центры обработки данных. Массовое производство этих процессоров запланировано на конец 2022 года.

TSMC видит высокий спрос на N3

Лидер в области производства микросхем, компания TSMC, уже массово производит маломощные чипы по технологии N5. Её модификацией станет N4, однако уже на следующий технологический этап, N3, у компании есть множество заказов.

Технология N3 позволит поднять производительность на 10—15%. При этом массовое производство по технологии с элементами 3 нм начнётся во второй половине 2022 года. Этот основанный на FinFET процесс обещает заметный прорыв, по сравнению с N5 первого поколения. Он не только обеспечит прирост производительности в 10—15%, но и снизит энергопотребление на 25—30% при той же скорости. Плотность транзисторов увеличится в 1,7 раза, а памяти SRAM в 1,2 раза. Плотность аналоговых устройств возрастёт в 1,1 раза.

TSMC

Спрос на эту технологию будет крайне высоким. Компания ожидает, что по этому процессу будет произведено вдвое больше микросхем, чем по N5.

Следующий шаг, N2, станет самым значим прорывом в производстве микросхем за последние годы. В этом процессе TSMC откажется от FinFET в пользу нанолистовой технологии. По словам компании, нанолистовые транзисторы имеют на 15% меньше Vt-вариаций, что «очень хорошо», по сравнению с FinFET.

Также говоря о 2 нм технологии, компания сообщила, где будет находится это предприятие. Его построят в тайваньском Синьчжу. Называться предприятие будет Fab 20. Пока же возведение завода находится на этапе приобретения земли.

TSMC заявила о прорыве в разработке 1 нм чипов

Последние годы учёные единогласно заявляют, что нынешняя электронная промышленность приблизилась к своему пределу уменьшения.

Безусловно, производители ищут выход из этой ситуации, пробуют различные материалы, которые позволят им и дальше сокращать размеры транзисторов. И вот, вслед за IBM, которая анонсировала 2 нм техпроцесс, крупнейший мировой производитель микросхем, TSMC, при поддержке Национального университета Тайваня и Массачусетского института технологии, объявил о разработке материала под названием полуметаллический висмут, который должен обеспечить возможность производство чипов с элементами в 1 нм в будущем.

По мере уменьшения размеров элементов производители сталкиваются с растущим влиянием их сопротивления и снижением силы тока на контатных электродах, которые отвечают за подачу питания. Согласно проведённому исследованию, использование полуметаллического висмута в качестве контактных электродов транзисторов может значительно снизить сопротивление и повысить силу проходящего тока. И всё это на контактах толщиной в 1 атом.

Пока технология находится на экспериментальном этапе, так что до коммерческой реализации 1 нм микросхем придётся подождать несколько лет.

TSMC столкнулась с трудностями при внедрении 3 нм

Сражение с законами физики всегда было нелёгким. Компании Samsung, TSMC и Intel прилагают массу усилий к разработке микросхем всё меньшего масштаба. Современные микросхемы имеют транзисторы, состоящие из нескольких атомов, и процесс их уменьшения даётся всё труднее.

По информации Digitimes компания TSMC столкнулась с трудностями при разработке 3 нм процесса, которые могут привести к задержке реализации технологии. В свою очередь и Samsung не может достичь успеха с 3 нм технологией, что также выбивает её из графика. Всё это может привести к тому, что 5 нм процесс будет существовать дольше, чем планировалось, замедлив прогресс компаний, полагающихся на передовые технологии производства.

TSMC

Если это так, то у Intel есть отличный шанс догнать Азиатские компании. В настоящее время Intel расширяет ассортимент продуктов, изготавливаемых по 10 нм нормам. При этом 10 нм технология Intel эквивалентна 7 нм TSMC в плане размеров транзисторов.

TSMC готовит 3 нм Plus на 2023 год

Компания TSMC дополнила свою дорожную карту на 2023 год новой технологий производства — 3nm Plus.

Это будет улучшенная версия технологии 3 нм. Наверное, никого не удивит, что одним из первых клиентов на неё будет Apple. Это компания всегда отличалась большими аппетитами на производственные мощности. И теперь эти аппетиты выросли, ведь к SoC для iPhone и iPad теперь добавились ещё и процессоры для ПК.

Микросхемы TSMC

Массовое производство по 3 нм технологии начнётся уже во второй половине 2022 года. А уже годом позднее появится улучшенная версия этой технологии.

В настоящее время тайваньский производитель микросхем является лидером рынка и предлагает удобные для разработчиков решения и передовые технологии производства. На втором месте находится Samsung, однако её технологии не столь совершенны, как у TSMC. Остальные же производители уже несколько лет не могут угнаться за лидерами.

TSMC и Imagination скооперировались для создания будущих техпроцессов

Компании TSMC и Imagination Technologies объявили о начале очередного этапа их взаимного сотрудничества, нацеленного на разработку следующего поколение GPU Imagination — 6-й серии PowerVR.

Новый графический процессор пока не готов к выпуску, однако может быть использован в SoC будущих разработок, включая изготовленные по 16 нм техпроцессу FinFET на заводах TSMC. Две компании будут работать над созданием нового референтного дизайна системы, использующей стандарты с высокой пропускной способностью памяти и технологию TSMC 3D IC.

Производительность GPU 6-й серии будет необходима при создании будущих поколений SoC, при которых дизайнерам понадобятся более сложные и разнообразные технологические решения, такие как 16FinFET от TSMC.

«Посредством разнообразных проектов, инициированных данным партнёрством, Imagination и TSMC сработали вместе, чтобы показать, как SoC изменят будущее мобильных и встраиваемых продуктов», — заявил исполнительный директор Imagination Хуссеин Яссаи.

Вице-президент TSMC Клифф Хоу пояснил, что необходимость в высокопроизводительном мобильном GPU в будущем ускорит процессы производства чипов, так же, как разработки CPU стали продвигать новые техпроцессы в девяностых.

Промышленные слухи: 14 нм в 2015

По заявлению руководителя отдела исследований компании TSMC Шан-и Чиана (Shang-yi Chiang), их компания планирует переход на нормы 14 нм техпроцесса в 2015 году.

Для примера, в настоящее время Intel изготавливает свои процессоры по 32 нм технологии. 22 нм чипы должны появиться уже в этом году, однако, по всей видимости, выход этих микросхем будет отложен. Согласно существующей технологической дорожной карте Intel, производство чипов по 14 нм технологии начнётся в 2013 году, а в 2015-м компания планирует перейти на 10 нм. На следующей неделе в Сан-Франциско пройдёт выставка IDF, на которой Intel представят обновленную дорожную карту. Интересно, будут ли смещены существующие сроки?

В то же время, GlobalFoundries планирует переход на 20 нм в микросхемах слабой мощности, предназначенных для сетевых, беспроводных и мобильных устройств, лишь в 2013 году. При этом выпуск высокомощных процессоров по 20 нм техпроцессу компания планирует начать в 2014 году. Эти данные полностью совпадают с планами AMD, по переходу на новые техпроцессы, что позволяет считать эту информацию правдоподобной.

Кроме уменьшения размера элементов интегральных схем, Чиан также предположил, что в 2015 году их производство перейдёт на использование блинов подложек диаметром 450 мм.

При всем этом ни одна из трёх компаний не объявила об использовании технологии КНИ (кремний-на-изоляторе) в своих будущих чипах. Однако это вовсе не означает, что вся лидирующая тройка отказалась от этого. Тем не менее, по слухам, первыми на технологию 14 нм КНИ с использованием подложек диаметром 450 мм перейдёт компания Samsung.

TSMC испытывает проблемы с 28 нм технологией

Похоже, что из-за проблем с производством, выход нового хай-энд GPU от NVIDIA, под кодовым названием Kepler, состоится только в следующем году, хотя согласно более ранних прогнозов, NVIDIA должны были представить чип уже в конце текущего года.

Производственный партнёр NVIDIA, компания TSMC, испытывает трудности с новым 28 нм технологическим процессом, по которому и будет изготавливаться Kepler. Кроме того, и сам GPU оказался медленнее, чем рассчитывали разработчики.

Запуск технологического процесса, с размером элементов 28 нм уже откладывался ранее. Из-за этого, компании AMD даже пришлось изменить стратегию по выпуску своих чипов. Так, AMD хотели выпустить хай-энд чип VLIW4 по нормам 32 нм, но всё же решили подождать, пока TSMC уменьшит процент брака при производстве чипов по нормам 28 нм техпроцесса. Ожидается, что TSMC начнет принимать заявки на производство GPU по технологии 28 нм в четвертом квартале этого года, а опытные производства чипов по 20 нм процессу начнет не раньше чем через год.

Еще один крупный клиент TSMC — компания Qualcomm, также готовится к началу производства по 28 нм технологии. Однако они еще не переработали конструкцию своих новых двухъядерных чипов 8960, 8270 and 8260A для их изготовления по объемному 28 нм техпроцессу.

Первый 28 нм видеопроцессор AMD из семейства Southern Islands должен выйти в первой половине 2012 года. Кроме GPU на том же техпроцессе компания также планирует изготавливать APU с кодовыми именами Krishna и Wichita, которые придут на замену Ontraio и Zacate.