Новости про 2 нм и производство

Samsung переименует 3 нм техпроцесс в 2 нм

На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Теперь компания решила, что второе поколение 3 нм производственного процесса, который сейчас называется SF3, будет переименован в 2 нм SF2. Данный ребрендинг позволит южнокорейскому гиганту упростить номенклатуру своих техпроцессов и лучше конкурировать с заводами Intel, по крайней мере, визуально.

Технологический прогресс Samsung

В то же время Intel уже в этом году запустит процесс 20A, технологию 2 нм класса. При этом Samsung уже уведомила заказчиков об изменениях наименования с SF3 на SF2. Компания уже пошла настолько далеко, что начала переподписывать договоры с заказчиками, которые ждут продукцию по нормам SF3.

Южнокорейская компания планирует запустить переименованный процесс SF2 во второй половине 2024 года. Технология будет использовать транзисторы с окружающим затвором GAA, которые Samsung называет Multi-Bridge-Channel Field Effect Transistors (MBCFET), и не предлагает подвод энергии с обратной стороны, что является важным преимуществом у Intel 20A.

2 нм процесс TSMC дебютирует в 2025 году

Новый технологический процесс TSMC 2 нм класса, названный N2, поступит в массовое производство в 2025 году, — сообщает Financial Times.

Эта технология от ведущей технологической компании Тайваня будет в первую очередь доступна для Apple. По всей видимости, процесс будет использован для новых процессоров Apple, которые найдут себе место в iPhone 17 Pro и устройствах Pro Max 2025 года. Нынешнее поколение 3 нм класса от TSMC продолжит использоваться для чипов устройств Apple в iPhone 16 Pro/Pro Max в течение всего следующего года.

Нынешние процессоры Apple A17 Pro и M3 лежат в основе смартфонов iPhone 15 Pro/Max и компьютеров Mac второй половины этого года, построены по технологии N3, которая обеспечивает 183 миллиона транзисторов на квадратный миллиметр. При этом у компании есть ещё две технологии этого класса: N3E с 215,6 Мтр/мм², которая только вышла в массовое производство, и N3P с 224 Мтр/мм², которая выйдет в 2024. Примечательно, что процесс N2 обеспечит 259 Мтр/мм², что характеризует N3P как промежуточный процесс производства.

TSMC может отложить 2 нм процесс

По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Если эти слухи окажутся правдой, то это непременно скажется на всей индустрии. Причиной же задержки могут быть несколько факторов, включая архитектурный переход от FinFET к Gate-All-Around (GAA), а также возможные инженерные вызовы при уменьшении транзисторов до 2 нм. Как известно, TSMC является лидером рынка, однако она находится под постоянным прессингом конкурентов, так что потенциальная задержка позволит Samsung усилить свои позиции, тем более что корейский гигант уже перешёл на транзисторы GAA, начиная с 3 нм процесса.

Блин с процессорами от TSMC

Однако вполне возможно, что пока просто слишком рано задумываться о процессах, которые возможны только в 2025 году и позднее. Сама TSMC отрицает проблемы и готовится к пилотному выпуску 2 нм микросхем уже в 2024 году и массовому производству в 2025 году. Возможные задержки в реализации планов заставит заказчиков скорректировать свои стратегии развития или искать других поставщиков.

Япония и США построят завод по производству 2 нм микросхем

Согласно отчёту издания Nikkei, Япония и США объединили усилия по ускорению разработки полупроводникового производства по 2 нм нормам в Японии к 2025 году.

Пока не ясно, как именно это должно произойти, однако два государства подписали соглашение о партнёрстве в области производства микросхем. Сообщается, что основное финансирование будет проведено частными компаниями обоих государств. Проект стартует уже этим летом, однако место строительства завода пока не определено. По словам министра экономики Японии, сейчас рассматривается два варианта.

«Вафля» с микросхемами

Очевидно, что Япония хочет получить возможности производства собственных микросхем будущего поколения. Высокотехнологичная электроника требует чипы. Касается это и военной отрасли, где микросхемы нужны практически всей технике, включая радары, самолёты и ракеты. Обладание таким производством закроет потребности страны в поставках микросхем для военной техники. При этом отмечается, что 2 нм завод будет настолько технологичным, что сможет производить продукцию не только для военных целей, но и для гражданских, от квантовых компьютеров до смартфонов.

В настоящее время у Японии имеются собственные заводы по производству сложных микросхем, однако пока страна технологически отстаёт в этом направлении.

TSMC видит высокий спрос на N3

Лидер в области производства микросхем, компания TSMC, уже массово производит маломощные чипы по технологии N5. Её модификацией станет N4, однако уже на следующий технологический этап, N3, у компании есть множество заказов.

Технология N3 позволит поднять производительность на 10—15%. При этом массовое производство по технологии с элементами 3 нм начнётся во второй половине 2022 года. Этот основанный на FinFET процесс обещает заметный прорыв, по сравнению с N5 первого поколения. Он не только обеспечит прирост производительности в 10—15%, но и снизит энергопотребление на 25—30% при той же скорости. Плотность транзисторов увеличится в 1,7 раза, а памяти SRAM в 1,2 раза. Плотность аналоговых устройств возрастёт в 1,1 раза.

TSMC

Спрос на эту технологию будет крайне высоким. Компания ожидает, что по этому процессу будет произведено вдвое больше микросхем, чем по N5.

Следующий шаг, N2, станет самым значим прорывом в производстве микросхем за последние годы. В этом процессе TSMC откажется от FinFET в пользу нанолистовой технологии. По словам компании, нанолистовые транзисторы имеют на 15% меньше Vt-вариаций, что «очень хорошо», по сравнению с FinFET.

Также говоря о 2 нм технологии, компания сообщила, где будет находится это предприятие. Его построят в тайваньском Синьчжу. Называться предприятие будет Fab 20. Пока же возведение завода находится на этапе приобретения земли.

IBM заявила о готовности 2 нм технологии

Компания IBM объявила об очередном технологическом достижении, представив миру первый чип, изготовленный по технологии 2 нм.

Как и следует ожидать, новая технология обеспечит множество преимуществ в энергоэффективности и производительности, что характерно для переходов на новые более тонкие техпроцессы.

Процессор IBM, изготовленный по 2 нм нормам, может вмещать до 50 миллиардов транзисторов и обеспечивает на 45% большую производительность и на 75% меньшее энергопотребление, чем современны 7 нм чипы.

Экспериментальные 2 нм процессоры IBM

Главные преимущества 2 нм технологии названы самой IBM:

  • Продление автономной работы смартфонов в 4 раза, зарядка будет требоваться раз в 4 дня.
  • Уменьшение углеродного следа центров обработки данных, которые потребляют 1% энергии, производимой в мире. Оснащение этих серверов 2 нм чипами позволит заметно снизить эту величину.
  • Разительное ускорение функционирования ноутбуков, от более быстрой обработки приложений до языковых переводов и более быстрого подключения к Интернету.
  • Более быстрое выявление объектов и реакции в системах беспилотных автомобилей.

Примечательно, что ранее IBM также самой первой в мире представляла 7 нм и 5 нм технологии. Таким образом, компания продолжила свою лидерскую тенденцию и с 2 нм процессом.

TSMC начинает разработку 2 нм процесса

Во время встречи с инвесторами руководство компании TSMC отметило, что начинает подготовку к производственному процессу по 2 нм нормам. Таким образом, тайваньская компания стала первой в мире, начавшей разработку 2 нм.

Ранее сообщалось, что технология с размерами элементов 3 нм и плотностью 250 миллионов транзисторов на квадратный миллиметр будет готова в 2022 году. Теперь же начата подготовка и к 2 нм технологии.

Пластина с микропроцессорами

Пока процесс находится на ранних этапах разработки, так что рассказывать тут ещё не о чем. Сначала компании нужно перейти на 5 нм производство, а затем на 3 нм, так что до появления первых 2 нм микросхем придётся ждать ещё очень долго.

Тем не менее, уже в этом году мы увидим переход на 7 нм+ и 5 нм процессы, которые будут использованы для производства процессоров AMD и NVIDIA в следующем году. В 2022 году появится 5 нм+ и первые продукты по 3 нм. Если продлить этот график, то 2 нм технологию можно будет ожидать в 2024 году, если её разработка будет идти по плану.

TSMC видит возможности в производстве по нормам 2 нм и 1 нм

Филип Вон, корпоративный вице-президент компании TSMC, сообщил, что сейчас его компания практически достигла 3 нм технологии, и теперь она уже видит способы перехода к 2 нм и даже к 1 нм процессу. Только эти числа ничего уже не значат.

Мы все считаем, что уменьшение техпроцесса приводит к росту плотности транзисторов на пластине за счёт того, что ключевые элементы становятся всё меньших размеров. Однако Вон заявил, что современные схемы наименования техпроцессов не имеют отношения к технологическим решениям, реализованным в микросхемах. Он отмечает, что это лишь брендинг.

Завод TSMC

«Раньше было так, что технологический узел, величина узла, что-то означала, как-то выражалась технологически на пластине. Сегодня эти значения — просто числа. Они как модели автомобилей. Как BMW пятой серии, или Mazda 6. Не имеет значения, что значат эти числа, это просто цель для следующей технологии, имя для неё. Так что давайте не путать наименования узлов с тем, что эта технология на самом деле предлагает».

TSMC анонсирует начало разработки 2 нм техпроцесса

Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

На замену 7 нм процессу придёт 5 нм, а затем — 3 нм. Эти технологии уже активно разрабатываются как TSMC, так и Samsung. Но то, что тайваньская компания уже готовится к 2 нм поколению — просто удивительно.

TSMC

Жуан Зишоу, старший директор TSMC, пояснил тайваньским СМИ, что новый 2 нм завод будет находится вместе с другими заводами будущих поколений в тайваньском Синьчжу. В этом городе расположен гигантский научный парк, в котором расположены 400 технологических компаний, включая TSMC.

Дорожная карта ячеек в микросхемах TSMC

Компания рассчитывает, что новый завод начнёт выпуск продукции к 2024 году.

2 нм могут оказаться невыгодными

В ходе мероприятия группы Synopsys, прошедшего в Санта Кларе, Калифорния, прозвучали слова сомнения о возможности перехода полупроводниковой промышленности на 2 нм нормы производства в будущем, поскольку этот переход вряд ли будет экономически целесообразным.

Конечно, инженеры видят способы уменьшения транзисторов до 5 нм, 3 нм и даже 2 нм, но некоторые сомневаются в коммерческой эффективности этих переходов. Пока об этом говорить слишком рано, но повышение сложности и рост затрат на всё уменьшающиеся чипы может означать, что даже 5 нм процесс окажется экономически нецелесообразным.

Дорожная карта уменьшения размеров транзисторов в микросхемах

«Прирост производительности в 16%, полученный при переходе на 10 нм, теряется при переходе на 7 нм по причине сопротивления в металлических дорожках. Энергосбережение, возросшее на 30% при 10 нм, при переходе на 7 нм возрастёт на 10—20%, а площадь кристалла, уменьшившаяся на 37% при 10 нм сократится на 20—30% с переходом на 7 нм», — заявил Пол Пензес, старший директор технологической команды Qualcomm.

«Площадь по-прежнему уменьшается на хорошую двухзначную величину, но скрытые затраты возрастают, означая, что реальные преимущества в стоимости и прочие улучшения начинают снижаться… И не ясно, что останется на 5 нм», — добавил Пензес, допустив, что 5 нм процесс может стать единственным улучшением после 7 нм.