Новости про производство и техпроцесс

Когда остановится масштабирование SRAM или кэш в техпроцессе TSMC 3 нм

Как известно, компания TSMC начала производство микросхем по 3 нм нормам. Этот техпроцесс включает все последние достижения науки, однако он же стал предвестником больших проблем дальнейшего развития.

Дело в том, что по данным самой TSMC, плотность кэш-памяти SRAM в новой технологии 3NE будет точно такой же, как и у 5 нм предшественника.

Более совершенная версия 3NB является более нишевой, и она уже будет иметь некоторое масштабирование SRAM, правда, всего на 5% по сравнению с 5 нм. При этом транзисторы в ядрах будут уменьшены в традиционные 1,6—1,7 раза, хотя этот процесс весьма сложен и эти цифры говорят о Законе Мура весьма приближённо.

Проблема заключается в том, что уменьшить размер процессора, не уменьшая физический размер кэша — невозможно. Процессор настолько большой, насколько большой у него кэш. Место на кристалле, занятое кэшем, не может быть использовано под размещение логики, а учитывая рост числа логических транзисторов производителям микросхем нужно продолжать наращивать размер кэша, чтобы избежать узкого места, связанного с памятью.

И размер транзисторов, с каждым производственным поколением, продолжает сокращаться, а вот компенсировать увеличение кэша за счёт уменьшения SRAM — не удаётся. И именно этот процесс может стать началом конца Закона Мура.

Apple и Intel заказали производство у TSMC по 3 нм нормам

Компании Apple и Intel станут первыми получателями микросхем, изготовленных по новой технологии TSMC, 3 нм, и произойдёт это раньше, чем технология станет доступной остальным игрокам рынка.

Сайт Nikkei Asia сообщает, что Apple и Intel уже тестируют конструкцию своих микросхем с производственной технологией 3 нм от TSMC. Суть процесса заключается в получении готовых микросхем во второй половине следующего года.

По данным TSMC, технология 3 нм может увеличить производительность вычислений на 10—15%, по сравнению с 5 нм, при этом снизив энергопотребление на 25—30%.

TSMC

По всей видимости, первым устройством с 3 нм процессором станет Apple iPad. Телефоны iPhone, которые выйдут в следующем году, должны использовать 4 нм процессоры из-за графиков планирования.

Что касается Intel, то она работает над двумя 3 нм проектами с TSMC, которые нацелены на ноутбуки и центры обработки данных. Массовое производство этих процессоров запланировано на конец 2022 года.

TSMC видит высокий спрос на N3

Лидер в области производства микросхем, компания TSMC, уже массово производит маломощные чипы по технологии N5. Её модификацией станет N4, однако уже на следующий технологический этап, N3, у компании есть множество заказов.

Технология N3 позволит поднять производительность на 10—15%. При этом массовое производство по технологии с элементами 3 нм начнётся во второй половине 2022 года. Этот основанный на FinFET процесс обещает заметный прорыв, по сравнению с N5 первого поколения. Он не только обеспечит прирост производительности в 10—15%, но и снизит энергопотребление на 25—30% при той же скорости. Плотность транзисторов увеличится в 1,7 раза, а памяти SRAM в 1,2 раза. Плотность аналоговых устройств возрастёт в 1,1 раза.

TSMC

Спрос на эту технологию будет крайне высоким. Компания ожидает, что по этому процессу будет произведено вдвое больше микросхем, чем по N5.

Следующий шаг, N2, станет самым значим прорывом в производстве микросхем за последние годы. В этом процессе TSMC откажется от FinFET в пользу нанолистовой технологии. По словам компании, нанолистовые транзисторы имеют на 15% меньше Vt-вариаций, что «очень хорошо», по сравнению с FinFET.

Также говоря о 2 нм технологии, компания сообщила, где будет находится это предприятие. Его построят в тайваньском Синьчжу. Называться предприятие будет Fab 20. Пока же возведение завода находится на этапе приобретения земли.

TSMC столкнулась с трудностями при внедрении 3 нм

Сражение с законами физики всегда было нелёгким. Компании Samsung, TSMC и Intel прилагают массу усилий к разработке микросхем всё меньшего масштаба. Современные микросхемы имеют транзисторы, состоящие из нескольких атомов, и процесс их уменьшения даётся всё труднее.

По информации Digitimes компания TSMC столкнулась с трудностями при разработке 3 нм процесса, которые могут привести к задержке реализации технологии. В свою очередь и Samsung не может достичь успеха с 3 нм технологией, что также выбивает её из графика. Всё это может привести к тому, что 5 нм процесс будет существовать дольше, чем планировалось, замедлив прогресс компаний, полагающихся на передовые технологии производства.

TSMC

Если это так, то у Intel есть отличный шанс догнать Азиатские компании. В настоящее время Intel расширяет ассортимент продуктов, изготавливаемых по 10 нм нормам. При этом 10 нм технология Intel эквивалентна 7 нм TSMC в плане размеров транзисторов.

TSMC готовит 3 нм Plus на 2023 год

Компания TSMC дополнила свою дорожную карту на 2023 год новой технологий производства — 3nm Plus.

Это будет улучшенная версия технологии 3 нм. Наверное, никого не удивит, что одним из первых клиентов на неё будет Apple. Это компания всегда отличалась большими аппетитами на производственные мощности. И теперь эти аппетиты выросли, ведь к SoC для iPhone и iPad теперь добавились ещё и процессоры для ПК.

Микросхемы TSMC

Массовое производство по 3 нм технологии начнётся уже во второй половине 2022 года. А уже годом позднее появится улучшенная версия этой технологии.

В настоящее время тайваньский производитель микросхем является лидером рынка и предлагает удобные для разработчиков решения и передовые технологии производства. На втором месте находится Samsung, однако её технологии не столь совершенны, как у TSMC. Остальные же производители уже несколько лет не могут угнаться за лидерами.

UMC может отложить внедрение 14 нм технологии в связи с низким спросом

Компания UMC, производящая микросхемы на заказ, ожидает снижение 28 нм производства в связи со снижением общемирового спроса. Слабый спрос также приведёт к замедлению запуска 14 нм технологии у компании, несмотря на то, что первый 14 нм чип уже был изготовлен UMC в этом году.

Фирма полагает, что 14 нм производство не будет востребовано до второй половины 2017 года, поэтому в короткой перспективе фирма сфокусируется на усовершенствовании существующих технологий, чтобы помочь своим заказчикам легче конкурировать на рынке IoT.

Таким образом, перенеся промышленный запуск 14 нм FinFET производства на вторую половину 2017 года компания, как и все её конкуренты, не будет выпускать 20 нм процессоры, сразу перейдя к более тонким технологиям, успешно осваиваемым Samsung и TSMC.

Как известно, Samsung уже начала изготавливать 14 нм FinFET процессоры, а TSMC приступила к коммерческому выпуску 16 нм FinFET продукции в третьем квартале этого года.

Примечательно, что TSMC активно работает над производственными процессами будущего, включая чипы с 10 нм и 7 нм элементами. В текущем квартале компания планирует провести аттестацию 10 нм технологии, а первые образцы заказной продукции будут выпущены в начале следующего года.

GloFo демонстрирует микросхему по 20 нм техпроцессу

Контрактный производитель микросхем Globalfoundries продемонстрировал работающий чип, изготовленный по трёхмерной технологии TSV с размером элементов 20 нм.

По информации Glofo, компания в качестве TSV заполняющего материала использует медь. Сама технология предусматривает сборку нескольких слоёв микросхемы в стек, с установлением связей не только по краям кристалла, но и сквозь слои. Также эта технология может быть использована для перехода с 28 нм до 20 нм.

Конечно, компания AMD будет главным клиентом на 20 нм производство, но пока ещё неизвестно, когда же начнётся массовое производство этих микросхем.

И хотя мы слышали о ряде проблем с производством этих 3D процессоров, факт работы опытного образца на лицо, что не может не обнадёживать.

GloFo: 7 нм чипы выйдут в 2017 году

В сети появились сведения о планах известного контрактного производителя микросхем, компании Globalfoundries, по выпуску чипов с новыми техпроцессами производства.

Показанная компанией дорожная карта немного разочаровала, поскольку эксперты рассчитывали, что переход на более тонкие процессы произойдёт несколько раньше.

Итак, согласно дорожной карте, 14 нм и 10 нм чипы, предназначенные для использования в сетевых, мобильных и потребительских устройствах, выйдут соответственно в 2014 и 2015 годах. Как мы знаем, обе технологии будут представлены в чистом и гибридном виде, который предполагает смешанное использование технологий FinFET для 10 нм процесса и BEOL для 14 нм.

Дальнейшее совершенствование технологии и переход на 7 нм произойдёт лишь в 2017 году. К сожалению, пока не сообщается, будет ли это чистый техпроцесс или смешанный, как это произойдёт с 14 и 10 нм.

Радует лишь то, что 2017 год назван не как год для выпуска первых образцов, а как год начала массового производства микросхем с размером элементов в 7 нм. И нет сомнения, что среди этих процессоров окажутся CPU и APU от AMD.

TSMC испытывает проблемы с 28 нм технологией

Похоже, что из-за проблем с производством, выход нового хай-энд GPU от NVIDIA, под кодовым названием Kepler, состоится только в следующем году, хотя согласно более ранних прогнозов, NVIDIA должны были представить чип уже в конце текущего года.

Производственный партнёр NVIDIA, компания TSMC, испытывает трудности с новым 28 нм технологическим процессом, по которому и будет изготавливаться Kepler. Кроме того, и сам GPU оказался медленнее, чем рассчитывали разработчики.

Запуск технологического процесса, с размером элементов 28 нм уже откладывался ранее. Из-за этого, компании AMD даже пришлось изменить стратегию по выпуску своих чипов. Так, AMD хотели выпустить хай-энд чип VLIW4 по нормам 32 нм, но всё же решили подождать, пока TSMC уменьшит процент брака при производстве чипов по нормам 28 нм техпроцесса. Ожидается, что TSMC начнет принимать заявки на производство GPU по технологии 28 нм в четвертом квартале этого года, а опытные производства чипов по 20 нм процессу начнет не раньше чем через год.

Еще один крупный клиент TSMC — компания Qualcomm, также готовится к началу производства по 28 нм технологии. Однако они еще не переработали конструкцию своих новых двухъядерных чипов 8960, 8270 and 8260A для их изготовления по объемному 28 нм техпроцессу.

Первый 28 нм видеопроцессор AMD из семейства Southern Islands должен выйти в первой половине 2012 года. Кроме GPU на том же техпроцессе компания также планирует изготавливать APU с кодовыми именами Krishna и Wichita, которые придут на замену Ontraio и Zacate.

TSMC может выпустить трёхмерные чипы раньше Intel

Процессорный гигант, компания Taiwan Semiconductor Manufacturing Co. (TSMC) может первой представить микросхемы с трёхмерными связями транзисторов. Причем это может произойти уже в конце 2011 года. При этом им удастся обойти полупроводникового гиганта — компанию Intel.

Такой отчет подготовила позавчера тайваньская торговая группа. Отчет основан на неназванных источниках. Свою технологию трёхмерных транзисторов компания Intel представила в мае этого года, при этом тогда же TSMC заявили, что их не интересуют подобные техпроцессы, и что все их усилия направлены на уменьшение физических размеров транзисторов. Однако уличить руководство компании во лжи вряд ли удастся, ведь между разработками Intel и TSMC есть принципиальные отличия.

Так, технология тайваньских разработчиков, получившая название (Through Silicon Vias — TSVs), представляет собой многослойные микросхемы, в которых между различными слоями существуют взаимосвязи, проходящие насквозь. В разработке Intel, под названием Tri-gate, кремниевые дорожки выступают над полупроводниковым субстратом.

Согласно отчета TAITRA, трёхмерные технологии TSMC позволят значительно увеличить плотность транзисторов в чипе, вплоть до 1000 раз. Устройства с трёхмерными микросхемами будут потреблять на 50% меньше электроэнергии. Новая технология позволит обойти множество трудностей, образованных традиционной «плоской» технологией построения микросхем.

Старший вице-президент TSMC по исследованиям и разработкам Шан-Йи Чиан подтвердил информацию, указанную в отчёте и сообщил, что их компания сейчас активно сотрудничает с разработчиками чипов для коммерциализации трёхмерной технологии производства.

TSMC начинает строительство нового завода по производству 300-миллиметровых подложек в Тайване

Крупнейший тайваньский чипмейкер TSMC сегодня официально начал строительство Fab 15 — завода по производству 300-мм подложек в центральной части Тайваня, в Научном парке Тайчжун. Это предприятие после выхода на полную мощность будет выпускать более 100 тысяч 12-дюймовых пластин в месяц.

«TSMC приложила много усилий, чтобы усовершенствовать свою технологию производства и производственные процессы. Имея множество партнеров и IDM клиентов мы смогли сформировать сильную конкуренцию в полупроводниковой промышленности», — сказал председатель и главный исполнительный директор TSMC Моррис Чанг. «Новая Fab 15 в центральной части Тайваня, в Научном парке подтверждает наши обязательства по обеспечению наших клиентов передовыми технологиями и удовлетворения их потребностей. Создание Fab 15 создаст дополнительно 8000 высококачественных рабочих мест, демонстрируя движение TSMC по пути корпоративной социальной ответственности».

Инвестиции TSMC в Fab 15 будут составлять более 9,3 млрд. долл и начнут приносить плоды в первом квартале 2012 года, когда объект начнёт массовое производство 40-нм и 28-нм чипов. Дальнейшее развитие планируется для изготовления подложек на более совершенных техпроцессах (20-нм и менее).

Помимо строительства новой фабрики, TSMC также вкладывают средства в расширение Fab 12 и Fab 14, которые в настоящее время выпускают до 200000 пластин в месяц. До конца этого года на этих заводах планируется достичь уровня выпуска в 240000 пластин в месяц.